Cómo hacer un circuito medio sumador de 2 bits o más

No tengo idea de electrónica, este semestre de la escuela nos dio una conferencia extraña y confusa sobre circuitos que no deberíamos tomar porque no somos ingenieros o algo parecido. La pregunta es que deberíamos hacer un circuito lógico de sumador completo y medio sumador de 8 bits en Logisim. Todo está bien hasta que me quedo atascado con el circuito de medio sumador. He dibujado con éxito un sumador completo de 8 bits

sumador completo de 8 bits

He resuelto el acertijo que conecta el primer Cout con el segundo Cin. Pero en la media víbora solo está Cout. No sé cómo conectarlos entre sí.

Respuestas (2)

Si combina dos medios sumadores, obtiene la funcionalidad de transferencia. Aquí hay un medio sumador: -

ingrese la descripción de la imagen aquí

Y aquí hay un sumador completo: -

ingrese la descripción de la imagen aquí

¿Puedes ver lo que ha sucedido, es decir, dos medios sumadores se combinan para hacer un sumador completo: -

  • Dos puertas EXOR proporcionan A+B y luego (A+B)+Cin
  • Una puerta AND proporciona el Cout intermediario de las entradas directas de A y B
  • Otro AND proporciona el Cout intermediario de (A+B)+ Cin
  • Una puerta OR produce el Cout final a partir de los resultados intermedios.

ingrese la descripción de la imagen aquí

Entonces, en efecto, ha creado un sumador de 8 bits utilizando ambos "bloques de construcción".

Pasé por algo así, ¿va bien o hay algún error? i.imgur.com/JvFHn6B.jpg
No puedo decirlo porque no hay carry in ni carry out. Como circuito parcial se ve bien.

Con la adición de una puerta OR para combinar sus salidas de acarreo, se pueden combinar dos medios sumadores para formar un sumador completo.