Comenzando a diseñar CMOS ASIC - ¿Cuál es el software imprescindible?

¿Qué software debo usar para diseñar una tubería de puertas? El diseño se implementará en el proceso de 350nm de TSMC. Una lista de software imprescindible para diseñar un circuito de compuerta básico, y las soluciones ASIC estarían bien.

¿Cual es tu presupuesto? ¿Célula estándar o personalizada completa? Generalmente esto se hace utilizando herramientas propietarias muy costosas. Algunos de los grandes nombres son Synopsys , Cadence , Mentor y Magma .
que pais seria este? Estoy interesado en mover su...
¿Qué te lleva a creer que puedes descargar software de torrent con fines educativos en Canadá? La ley de derechos de autor de Canadá, sección 29.4 parte 3 dice "la exención de la infracción de derechos de autor... no se aplica si el trabajo... está disponible comercialmente...", y ni siquiera creo que las exenciones se apliquen al uso de software: Se trata de su duplicación en diapositivas o pizarras o de su ejecución pública. Debería hablar con un abogado antes de basar un trabajo académico importante en software pirateado.

Respuestas (4)

Los grandes nombres del software EDA son Synopsys , Cadence , Mentor y Magma .

A continuación se muestra parte del software que suele estar involucrado en un flujo ASIC de celda estándar:

Los simuladores HDL leen una descripción RTL del diseño (normalmente escrita en Verilog o VHDL) e imitan el comportamiento del hardware descrito por RTL. Wikipedia tiene una lista de simuladores de Verilog ; la lista indica cuáles también son compatibles con VHDL.

Las herramientas de síntesis leen la descripción de RTL y la asignan a las celdas disponibles en su biblioteca de destino. La biblioteca de células generalmente se describe en .dbformato y puede ser proporcionada por su fundición o por un proveedor de biblioteca externo. La salida de la herramienta de síntesis también puede ser Verilog, pero no tendrá construcciones de alto nivel, solo instancias de celdas y cables. Esto se llama netlist. Wikipedia tiene una lista de herramientas .

Las herramientas de lugar y ruta (P&R) toman la lista de conexiones y buscan una implementación física de esa lista de redes. Esto implica colocar todas las celdas en dos dimensiones y descubrir cómo enrutar las conexiones entre las celdas. Algunos ejemplos son IC Compiler, Encounter y Blast Fusion.

A medida que un diseño pasa por P&R, habrá controles de calidad adicionales que incluyen análisis de tiempo estático (usando, por ejemplo, PrimeTime), diseño versus esquema y DRC .

¿Puedes comentar sobre sistemas como Hardcopy de Altera que usan herramientas FPGA?
La copia impresa esencialmente conecta las celdas FPGA durante la fabricación en lugar de hacerlas configurables. Esto los hace 'duros' como el ASIC pero sin los costos masivos de NRE de ASIC. Es una alternativa a ASIC si no tiene el volumen para justificar el costo de ASIC.

Mucha gente usa costosas herramientas propietarias para el diseño de VLSI. Otros encuentran el software libre o freeware adecuado y más educativo:

  • Magic : un editor interactivo para diseños VLSI con DRC en línea, lanzado bajo la licencia de código abierto de Berkeley. Tutoría de magia . Algunos parches ingeniosos para Magic .

  • Alliance : un sistema CAD completo para el diseño de VLSI, se publica bajo la licencia pública general GNU (GPL). Un montón de herramientas, bibliotecas de células, etc.

  • GNU Electric (gracias, sybreon), publicado bajo licencia GPL

  • LASI (Layout System for Individuals), programa gratuito de código cerrado

Si se trata de un proyecto académico y no está obligado a usar los procesos de TSMC, puede considerar usar una herramienta como GNU Electric . Es capaz de manejar algunos diseños ASIC personalizados básicos. Dependiendo de la complejidad de su diseño, podría ser 'lo suficientemente bueno' para lo que necesita.

En mi empresa, el procedimiento estándar será:

  • Especificación (Microsoft Visio)
  • Editor de texto de codificación (Emacs/Vim)
  • Simulador (sinopsis VCS)
  • Sintetizar (sinopsis de DC_Compiler)
  • Optimización de tiempo (prime time synopsys)
  • Enrutamiento (IC_Compiler Synopsys)
  • Comprobación del diseño posterior (Calibre)

También puede necesitar Virtuoso (Cadencia) si desea hacer señales mixtas o ADC, DAC...

¡Espero que ayude!