¿Qué está haciendo este multiplexor en este diseño?

El diseño es de un documento Xilinx FPGA . Creo que estas son tablas de búsqueda preprogramadas porque no hay entrada de bit de selección, pero en la parte inferior dice que son:

Multiplexor[es] controlado por el programa de configuración

No estoy seguro de cómo interpretar eso. El diseño parece un detector de bordes.

¿Qué es esto y qué está haciendo?

ingrese la descripción de la imagen aquí

¿Es configurable para tener la misma funcionalidad de estos dos circuitos?

ingrese la descripción de la imagen aquí

Respuestas (2)

"Multiplexor controlado por programa de configuración" es una referencia a cómo funcionan los FPGA.

Este multiplexor no es realmente parte de su diseño. Es parte de la lógica de configuración. Su diseño crearía una instancia de un flip flop, ya sea activado por el borde ascendente o activado por el borde descendente (es decir, "si el borde ascendente (clk)" O "si el borde descendente (clk)", y el mux es la pieza de lógica que implementa eso.

La entrada de selección al MUX que no ve en el diagrama es un solo bit en el flujo de bits de configuración que se carga/configura al encender.

Más allá de eso, no hay detección de bordes con el MUX. Un MUX no tiene memoria. En el diseño sincrónico, la detección de borde requiere 1 bit de memoria (es decir, el estado anterior: borde_aumentado_a <= a Y NO anterior_a). El Mux selecciona un reloj invertido o no invertido para alimentar el flanco inherentemente ascendente o descendente activado (uno u otro, no estoy seguro de cuál) Flip Flop.

Gran respuesta. Eso en realidad lo aclaró perfectamente. Sé que en VHDL puedo especificar si el proceso debe ocurrir en un flanco ascendente y lo olvidé por completo hasta que lo mencionaste hace un momento. Así es como la FPGA implementa esa parte. ¡Gracias!

Parece que permite que el flip-flop de salida se active por el borde ascendente o descendente de K (la señal del reloj), según lo seleccione la configuración del multiplexor.

Es un detector de bordes configurable... ¿cuál es el beneficio de eso?
No es un detector de bordes. Está seleccionando si su FF se activa por un borde positivo (ascendente) o negativo (descendente).
@Paebbels Tal vez estoy usando la terminología incorrecta. Pensé que esto era un detector de bordes . ¿Es este el efecto? Un pulso corto resultante, basado en la configuración.
Para su edición: No, sus circuitos usan puertas AND, pero el FPGA tiene un multiplexor. Eso es un interruptor o como ecuación: y = s ¯ a + s b