Oscilador adecuado para CPLD

Planeo usar KC5032A40 como fuente de reloj para CoolRunner XPLA3 256 . Lo enciendo con 3.3V y lo conecto como se sugiere:

ingrese la descripción de la imagen aquí

Realizo la medición en el punto de prueba y no agrego ninguna capacitancia CL , la sonda está configurada en 10x. En lugar de obtener oscilaciones teóricas de 0 V y 3,3 V, veo oscilaciones de -1 V a 4 V:

ingrese la descripción de la imagen aquí

Preguntas:

  1. ¿Es esta una medida correcta? ¿Proporcionará el oscilador cambios de voltaje adecuados con algo de carga en la salida?

  2. ¿Es esta una opción razonable para mi aplicación? ¿Alguna sugerencia para la elección del oscilador para impulsar CPLD?

  3. ¿Es una práctica adecuada conectar el oscilador a cualquier pin IO, o debe conectarse a uno de los pines especiales CLK0:4 (tabla 4 del manual)? ¿Cuál es el propósito de un pin como IN0/CLK0?

  4. ¿Podría también usar dicho oscilador como un reloj externo para un microcontrolador en lugar del oscilador XTAL?

Creo que esta oscilación se debe a que no hay carga conectada a la salida, excepto el osciloscopio. La impedancia de entrada del osciloscopio es tan alta que no hay coincidencia entre la fuente y la impedancia de carga, lo que provoca reflejos en ese reloj que aparecen como sobreimpulsos y subimpulsos que provocan esta oscilación.
Además, ¿qué osciloscopio estás usando? ¿Cuál es el ancho de banda de la sonda a 10x?
@Abdella Gracias por el consejo de pin-clocks. Ese es el Rigol DS1102E y dice 100MHz, 1GS/s. Pero desearía ser un usuario más avanzado de mi osciloscopio para decirle cuál es el ancho de banda a 10x.
Las especificaciones de la sonda 1x y 10x deben escribirse en la propia sonda, en el lado del conector BNC.

Respuestas (1)

Utilizo estos módulos osc para microcontroladores y EPLD sin problema. El sobrevoltaje que está viendo es más que probable que sea una "característica" de su sonda de alcance. ¡Lo tengo en mi alcance Tektronix y simplemente estoy "acostumbrado"!

Para CPLD/EPLD/FPGA hay pines dedicados para el oscilador maestro, pero si no es el oscilador maestro, no hay problema, conéctelo a cualquier pin IO.

Ese será el único reloj proporcionado al CPLD. ¿Seguiría importando a qué pin se debe conectar el osc?
Los CPLD, y corríjame si me equivoco, tienen líneas de reloj maestro dedicadas. No digo que no puedas usar otros, pero todos los que he usado (Altera EPLD y un FPGA) tenían pines clk dedicados.
El CPLD que está utilizando tiene 4 pines de reloj global que están optimizados para entradas de reloj. Es mejor utilizar uno de ellos para reducir los retrasos que introduce el enrutamiento interno del CPLD.