¿VHDL 2008 es compatible con síntesis de tipo punto flotante y fijo?

¿Qué herramientas de síntesis de VHDL son compatibles con los tipos de punto flotante y fijo de VHDL 2008, tal como se describe en vhdl.org/fphdl ? El sitio VHDL.org afirma que "todos estos paquetes están diseñados para ser sintetizables en VHDL-93". ¿Qué herramientas han dado resultados exitosos al sintetizar los tipos de punto fijo y punto flotante de VHDL-2008?

Segunda pregunta, ¿cuál es el estado de VHDL-2008? ¿Ha sido ratificado?

Dado que es probable que la respuesta a la primera pregunta cambie con el tiempo, espere que esto se cierre.
¿Sugerencias sobre una forma más apropiada de formular la pregunta? ¿O no es una pregunta apta para este formato? O simplemente pregunte, ¿qué herramientas en 2011 soportan...?
@Christoper Felton: Me gusta la primera parte de la pregunta. ¿Quizás esto sería una buena opción para un wiki comunitario?
@Brian: es perfectamente legítimo hablar sobre el cumplimiento de los estándares. Lo que queremos evitar es información que solo será útil durante un período de tiempo específico. Es poco probable que los implementadores abandonen su soporte para VHDL-2008, por lo que creo que esto debería mantenerse. Es posible que esto deba expandirse en el futuro, pero es poco probable que se vuelva obsoleto.

Respuestas (3)

Sí, se ratificó VHDL-2008

"En febrero de 2008, Accellera aprobó VHDL 4.0, también conocido informalmente como VHDL 2008, que abordó más de 90 problemas descubiertos durante el período de prueba de la versión 3.0 e incluye tipos genéricos mejorados. En 2008, Accellera lanzó VHDL 4.0 al IEEE para votar por su inclusión. en IEEE 1076-2008. El estándar VHDL IEEE 1076-2008 se publicó en enero de 2009".

- http://en.wikipedia.org/wiki/Vhdl

Gracias, entonces el estándar ha sido grabado en piedra por algún tiempo.

Esto no responde exactamente a su pregunta , pero CUALQUIER sintetizador VHDL 93 que funcione funcionará con bibliotecas VHDL de coma flotante y fija en VHDL 93. Para obtenerlas, consulte http://www.eda.org/fphdl/ .

El enlace está roto: no puedo encontrar las bibliotecas en otro lugar...

He usado las bibliotecas de punto fijo VHDL en Quartus II de Altera (v9.1), con un Cyclone III. Sintetizaron un filtro IIR y un filtro adaptativo LMS de manera bastante eficiente: no noté una gran diferencia en el uso de recursos en comparación con mi implementación anterior usando numeric_std.

Por lo tanto, estoy seguro de que Quartus es compatible con las bibliotecas de punto fijo.

Pero tuvo que usar las bibliotecas propuestas por IEEE en lugar de las IEEE reales, ¿verdad?
Eso es correcto, usé las bibliotecas IEEE_proposed.