¿Cómo transmito una señal FM desde el puerto VGA_R en el DE2-115?

La mejor referencia para mi pregunta sería este video de youtube: https://www.youtube.com/watch?v=4VW017qPT6Y

Estoy tratando de hacer exactamente lo que hicieron con los siguientes recursos:

  • Matlab 2013a con HDL Coder y varios otros paquetes
  • La placa FPGA DE2-115
  • Una radio

Entonces, primero tengo la tarea de crear un transmisor AM, luego FM, pero para el contexto de esta pregunta me concentraré en FM.

Mi principal preocupación es cómo transmitir una señal de 88 MHz o más si el reloj interno del DE2-115 es de 50 MHz. Entonces, ¿cómo transmitió exactamente el grupo de la Universidad de Hasselt una señal superior a 88 MHz? O, ¿cómo lo harías?

Las entradas y salidas del bloque FM Modulator Passband Simulink se duplican como el tipo de datos, por lo que creo que necesito escribir mi propia función para reemplazar el bloque FM Modulator Passband. Pero, ¿puedo?

¡Gracias por todas y cada una de las respuestas! Responderé cualquier pregunta lo más rápido posible.

Respuestas (2)

Probablemente tendrá que usar el codificador HDL para crear un subbloque con todo su procesamiento detallado y usar las herramientas de Altera para crear un PLL para multiplicar su reloj. Luego cree un archivo VHDL o Verilog de nivel superior con los pines en él. Dentro de eso, puede instanciar su PLL y la lógica de procesamiento y conectarlos juntos.

Es muy raro que pueda usar una herramienta como el codificador HDL para construir un sistema FPGA completo, generalmente tiene que usarlo para crear un subbloque.

Eso es lo que tenía miedo de escuchar. Esto está resultando ser un proyecto de diseño senior bastante "divertido". ¡Gracias por su respuesta!

Utiliza uno de los PLL en el chip (hay cuatro de ellos en su dispositivo) para multiplicar el reloj de entrada de 50 MHz a algo más alto, como 200 MHz. Esa se convierte en la frecuencia de muestreo que usa para la salida VGA.

¡Gracias por su respuesta! No he oído hablar de los PLL ni los he usado antes. ¿Tiene algún/recomienda algún código fuente de ejemplo que pueda usar? (Usando el codificador HDL)
Lo siento, no. Hago toda mi codificación FPGA directamente en VHDL y Verilog. Tal vez alguien más pueda ayudar con eso.