Cómo simular un programa VHDL sin FPGA

Quiero aprender VHDL, y me pregunto si puedo encontrar alguna herramienta que me permita simular un programa VHDL sin tener un FPGA. Busqué en Internet y encontré esta lista de simuladores HDL, pero todavía no sé cómo implementar un programa VHDL en una simulación.

¿Edición para estudiantes de Modelsim o edición para principiantes? ¿Qué has intentado hacer realmente y tienes problemas?
Trato de aprender VHDL, leí algunos libros y ahora quiero probar algunos programas VHDL sin tener FPGA.
EDAPlayground.com es genial, está en línea y solo necesitas una cuenta de Google.
Además de ModelSim, puede usar entornos de desarrollo disponibles gratuitamente de los fabricantes. Puede construir un banco de pruebas y probar su solución allí. Personalmente, he usado el paquete de Lattice, y tienen varias placas FPGA baratas si está buscando algún hardware para comenzar.

Respuestas (2)

Uno de los simuladores más utilizados (que yo sepa) es ModelSim y, por lo tanto, es una buena opción cuando estás comenzando. Si instala la edición web gratuita de Quartus, también se instalará ModelSim (y ModelSim también viene con ISE WebPack IIRC).

Lo que desea hacer se conoce como banco de pruebas y consiste en escribir un código de estímulo que crea en las señales de entrada para el diseño bajo prueba (DUT) y también puede verificar las salidas. Por lo tanto, normalmente desea al menos dos archivos: el diseño que está probando y el banco de pruebas para ese diseño.

Hay muchos recursos en línea que te ayudarán a escribir un banco de pruebas básico. Aquí hay un punto de partida razonable, pero querrá hacer muchas búsquedas en línea; hay mucho que aprender.

Modelsim es una excelente opción para simular códigos HDL. Sin embargo, no garantizará que su diseño sea sintetizable. La síntesis del diseño depende de un hardware de destino. La mejor manera de aprender a programar VHDL para crear hardware del mundo real es codificar en Xilinx ISE y luego probar y simular su código en ModelSim.