¿Alguna buena referencia para implementaciones de arquitectura digital de operaciones aritméticas de coma flotante? [cerrado]

Estoy haciendo un proyecto de tesis. Necesito hacer una implementación FPGA de una red neuronal. Quiero implementar algunas funciones matemáticas como una función exponencial.

¿Alguien sabe de algún buen libro sobre FPGA, VHDL o implementación de arquitectura digital de operaciones aritméticas de coma flotante?

¿Cuál es tu nivel de habilidad? ¿Necesita una introducción a FPGA y VHDL, o una referencia para implementar operaciones de punto flotante con algunas recetas en VHDL?
@reemrevnivek: He estudiado VHDL antes, pero no he tenido una introducción formal a los FPGA. ¿Conoces alguna referencia que deba leer sobre los FPGA? Tengo la sensación de que los FPGA son un mundo amplio en el sentido de que cada fabricante de FPGA tiene una tecnología diferente. Por supuesto que hay algo en común entre todos los fabricantes...
¿Tiene un enlace de página de proyecto o clase? Esto suena muy interesante.
esto ha sido convertido a wiki de la comunidad por su solicitud.

Respuestas (4)

Hay técnicas ANN que no requieren punto flotante. Encontrará las cosas mucho más fáciles si adopta uno de esos.

Me viene a la mente el trabajo de Jim Austin en la Universidad de York. Utiliza FPGA y DSP de punto fijo.

EDITAR: (Leon, espero que no te importe que agregue esta lista aquí)

Me cuesta imaginar algo a partir de ecuaciones o cualquier expresión matemática. Bueno, al final tienes expresiones matemáticas y ¿cómo las implementas? Esa es la pregunta. Supongo que las redes neuronales son interesantes porque se pueden implementar en la electrónica (no sé imaginarme ninguna aplicación de procesamiento de señales que no esté basada en expresiones matemáticas). De todos modos, tengo que usar redes neuronales difusas y, en lo que a mí respecta, las redes neuronales difusas se describen mediante expresiones matemáticas.
Además, utilizo la forma 'si, entonces regla' propuesta por Takagi y Sugeno. Esta forma se describe mediante expresiones matemáticas.
Por favor, ¿puede mostrarme alguna referencia sobre técnicas ANN que no requieran punto flotante?
El enlace que has puesto no sirve de nada, esa página web no contiene ningún enlace a documentación o bibliotecas. Puede ser que pueda acceder si fuera miembro de la Universidad de York, pero no lo soy.
@Peterstone: contiene enlaces a todas las publicaciones de ese grupo de investigación. Esa es la forma normal en que los científicos comparten su conocimiento.

El grupo de investigación de la profesora Miriam Leeser en el Laboratorio de Computación Reconfigurable de la Universidad Northeastern ha desarrollado una biblioteca de punto flotante de código abierto para Xilinx FPGA en VHDL: http://www.ece.neu.edu/groups/rcl/projects/floatingpoint/index.html

Están comenzando con un paquete en VHDL.org . OptNgn también tiene una biblioteca que es gratuita (GPLv3).

Ambos enlaces están muertos ahora.

Evitaría el formato de punto flotante IEEE, iría con el formato que usa TI DSP. Ti tiene un buen documento que acompaña a uno de los DSP más antiguos que te ayuda en las operaciones. A partir de ahí puedes empezar con tu lógica.

Por favor, ¿podrías poner algún enlace?
ise.pw.edu.pl/dydaktyka/psap/320C3x.pdf a partir de la sección 4.3